ztoa-team-group-caravel

License

NOTE: The original non-flattened version of this repo is found here: https://github.com/algofoogle/algofoogle-multi-caravel

Group GFMPW-1 ASIC design organised by "Zero to ASIC" participants

Cover image featuring Zero to ASIC logo and image representing combined designs

Table of Contents

Summary

A team of participants from the Zero to ASIC Course decided to independently assemble this group submission to GFMPW-1 as a way to test/debug/characterise some of their more complex, larger, and experimental designs.

There are 7 designs in this one die, selectable via a mux. Each of the individual designs is referenced below with a link to its original repo.

For my comprehensive notes about the journey to get this submitted, start here with my final journal entry 0189 and work backwards by using the 'Previous journal' link atop each page.

License

This repo is licensed with Apache 2.0.

Die layout

The die layout (as shown in the cover image above) can be downloaded as a PDF: docs/ztoa-team-layout--gfmpw-1.pdf.

Design 0: top_raybox_zero_fsm - raybox-zero FSM version

By: algofoogle (Anton Maurovic) - original repo: raybox-zero @ gf180-for-mux

raybox-zero running on an FPGA

This project is an attempt to do a minimalist ray caster (Wolf3D-like) VGA renderer in hardware, as a sort of primitive GPU. Earlier, simpler version was previously submitted to be made as part of a community ASIC via the Tiny Tapeout program (see tt04-raybox-zero).

This version features support for an external SPI memory containing wall texture data, and extra registers for changing the appearance of the rendering. It also has a reworked wall tracer.

For additional documentation and details about the project, please see algofoogle/raybox-zero

Design 1: 2nd instance of top_raybox_zero_fsm for characterisation

Same as Design 0 above, but with different placement, different routing via mux.

Design 2: wrapped_wb_hyperram - Wishbone connected HyperRAM memory driver

By: embelon (Pawel Sitarz) - Original repo: wb_hyperram @ 186838b

This is an implementation of a HyperRAM memory driver connected to Wishbone bus.

For additional documentation and details about the project, please see embelon/wb_hyperram

Design 3: user_proj_cpu - CPUy 8-bit CPU

By: dsatizabal (Diego Satizabal) - original repo: cpuy

CPUy is an 8-bits CPU "mind-crafted by experience" and inspired in the AT89S5X series of processors from Atmel which were used by the author at the university to develop microcontrollers projects. The design does not follow any particular well-known architecture for its implementation.

CPUy is meant to be used with an external ROM chip like one of the 27CXXX series that must be flashed with the desired program to be executed supporting a maximum of 4KB of program memory.

The characteristics of CPUy are:

  • 10-bits address bus for a maximum external memory of 4096 bytes.
  • 8-bits data bus.
  • 256 bytes internal scratch pad memory.
  • 8 8-bits registers, some used for specific purposes functions as CPU and Timers config.
  • 44 supported instructions (arithmetic, logic, branching, movement), some instructions requiring 2 clock cycles and more complex instructions requiring 3 clock cycles for execution.
  • 2 bidirectional/configurable I/O ports: P0 8-bits, P1 4-bits.
  • 2 16-bits timers with configurable mode (up/down count), autoreload and interrupt.
  • 1 dedicated pin for external interrupt.
  • 16-levels LIFO stack.
  • Combinational-logic ALU and microcode.

For additional documentation and details about the project, please see dsatizabal/cpuy

Design 4: urish_simon_says - Simon Says game for GFMPW-1 Shuttle

By: urish (Uri Shaked) - original repo: gfmpw1-simon-says @ gds_north

Simon says is a simple electronic memory game: the user has to repeat a growing sequence of colors. The sequence is displayed by lighting up the LEDs. Each color also has a corresponding tone.

In each turn, the game will play the sequence, and then wait for the user to repeat the sequence by pressing the buttons according to the color sequence. If the user repeated the sequence correctly, the game will play a "leveling-up" sound, add a new color at the end of the sequence, and move to the next turn.

The game continues until the user has made a mistake. Then a game over sound is played, and the game restarts.

You can play the game using the online Wokwi simulation at https://wokwi.com/projects/371755521090136065. The simulation also shows the wiring diagram.

For additional documentation and details about the project, please see urish/gfmpw1-simon-says

Design 5: top_solo_squash

By: algofoogle (Anton Maurovic) - original repo: solo_squash @ main

This is a Verilog HDL project that implements a primitive digital-logic-based video game that resembles Pong, but with one player just bouncing a ball within a 3-walled space, resembling a game of squash but with just 1 paddle. It also uses a subset of the vertical timing to produce speaker tones for different game states.

For additional documentation and details about the project, please see algofoogle/solo_squash

Design 6: top_vga_spi_rom

By: algofoogle (Anton Maurovic) - original repo: tt05-vga-spi-rom @ main

This is an experiment to see if we can generate a VGA display that uses a constant stream of data from an external SPI ROM, demonstrating a few specific goals:

  • Have an option to switch between timing for a 640x480 VGA display and a 1440x900 VGA display using the same source clock.
  • Have alternating modes that switch between registered and unregistered output.
  • Alternate between Quad SPI mode and single SPI mode.
  • Attempt to demonstrate any actual IO, memory, and other logic delays visually.

For additional documentation and details about the project, please see algofoogle/tt05-vga-spi-rom

Mux, including other internal 'test designs'

For documentation on controlling the mux, see: Using LA pins to control the mux

For example firmware, see C source files in test subdirectories of verilog/dv/cocotb/, e.g. hyperram_test.c.

Hardening guide

NOTE: The original repo with all development progress branches can be found on GitHub: https://github.com/algofoogle/algofoogle-multi-caravel

All work branches from gf180-base, which in turn is a simpler[^1] 'template-like' base that branches from efabless/caravel_user_project's gfmpw-1d tag.

[^1]: For more info, see: 0181 § 'What I did'.

Here's a very high-level overview of hardening any given design, assuming you already have at least something of a working OpenLane environment (or at least the prerequisites installed; see the original efabless guide for more info, but note that the PDK version in that doco might be outdated):

NOTE: Zero to ASIC VM also redirects certain other things (MGMT_AREA_ROOT, DESIGNS, CARAVEL_ROOT, etc. to subdirectories of ~/asic_tools/caravel_user_project). Check your ~/.bashrc for details. I've been trying to make this a bit more flexible (see Tip 2312A point 5) but note that I've not completed this work yet.

  1. Switch to the branch you want (default for the submission is gf180) set it up for the first time:

    git checkout gf180
    mkdir -p dependencies
    # Changing OPENLANE_ROOT & PDK_ROOT optional if using Zero to ASIC VM:
      export OPENLANE_ROOT=$(pwd)/dependencies/openlane_src
      export PDK_ROOT=$(pwd)/dependencies/pdks
    # Required:
    export PDK=gf180mcuD
    # This will download and install caravel, the PDK, required OpenLane version, etc.
    make setup
    
  2. Each time you want to harden:

    # Optional, as above:
      export OPENLANE_ROOT=$(pwd)/dependencies/openlane_src
      export PDK_ROOT=$(pwd)/dependencies/pdks
    # Required:
    export PDK=gf180mcuD
    
    # MAIN HARDENING STEP:
    make top_design_mux
    
  3. To harden all:

    make top_design_mux         \
         top_raybox_zero_fsm    \
         top_solo_squash        \
         top_vga_spi_rom        \
         urish_simon_says       \
         user_proj_cpu          \
         wrapped_wb_hyperram    \
         user_project_wrapper
    

NOTE: The final user_project_wrapper harden is expected to end with reporting hold violations. These are intentional: They relate specifically to secondary reset lines connected to the designs, each controlled by a separate la_data_in[] pin. While other more-stable system-wide reset options are provided, these are intended for specific experimentation/characterisation.

Running tests

Running tests assumes you have set your environment (as above), have run make setup, and have done pip install caravel-cocotb. Additionally, you might also need to use verilog/dv/setup-cocotb.py (or otherwise modify verilog/dv/cocotb/design_info.yaml). For more info, see efabless/caravel_user_project @ gfmpw-1d (or a more recent gfmpw* tag), but note that at the time of writing some of the documentation needs an update or clarification.

Anyway, given a working test environment, you can run (for example):

cd verilog/dv/cocotb
SIM=RTL  # or GL for a much slower and more-thorough gate-level simulation.
RUNTAG=my_RTL_test_run_123  # Set to whatever you want to store results in a specific directory.
caravel_cocotb -sim $SIM -tag $RUNTAG -test mux_test hyperram_test simon_test

Artefacts include .vcd files also for all internal traces of the caravel framework, IOs, and the specific user designs.

Acknowledgements

Thank you to everyone who both contributed to this submission and also helped me along the way:

Special thanks goes to:

  • Matt Venn who provided great training through the Zero to ASIC course to allow me (and maybe all of us) to get to this point, and who was a vital source of expert knowledge during the more nail-biting moments of wrapping everything up.
  • Many helpful and friendly people in the Discord communities of Zero to ASIC and Tiny Tapeout.
  • Google, Efabless, and Global Foundries who made this all possible through, respectively: Google's Open MPW program; chipIgnite (and the foundation of all the tooling used to develop/harden this chip); and the GF180 Open PDK.
                                 Apache License
                           Version 2.0, January 2004
                        http://www.apache.org/licenses/

   TERMS AND CONDITIONS FOR USE, REPRODUCTION, AND DISTRIBUTION

   1. Definitions.

      "License" shall mean the terms and conditions for use, reproduction,
      and distribution as defined by Sections 1 through 9 of this document.

      "Licensor" shall mean the copyright owner or entity authorized by
      the copyright owner that is granting the License.

      "Legal Entity" shall mean the union of the acting entity and all
      other entities that control, are controlled by, or are under common
      control with that entity. For the purposes of this definition,
      "control" means (i) the power, direct or indirect, to cause the
      direction or management of such entity, whether by contract or
      otherwise, or (ii) ownership of fifty percent (50%) or more of the
      outstanding shares, or (iii) beneficial ownership of such entity.

      "You" (or "Your") shall mean an individual or Legal Entity
      exercising permissions granted by this License.

      "Source" form shall mean the preferred form for making modifications,
      including but not limited to software source code, documentation
      source, and configuration files.

      "Object" form shall mean any form resulting from mechanical
      transformation or translation of a Source form, including but
      not limited to compiled object code, generated documentation,
      and conversions to other media types.

      "Work" shall mean the work of authorship, whether in Source or
      Object form, made available under the License, as indicated by a
      copyright notice that is included in or attached to the work
      (an example is provided in the Appendix below).

      "Derivative Works" shall mean any work, whether in Source or Object
      form, that is based on (or derived from) the Work and for which the
      editorial revisions, annotations, elaborations, or other modifications
      represent, as a whole, an original work of authorship. For the purposes
      of this License, Derivative Works shall not include works that remain
      separable from, or merely link (or bind by name) to the interfaces of,
      the Work and Derivative Works thereof.

      "Contribution" shall mean any work of authorship, including
      the original version of the Work and any modifications or additions
      to that Work or Derivative Works thereof, that is intentionally
      submitted to Licensor for inclusion in the Work by the copyright owner
      or by an individual or Legal Entity authorized to submit on behalf of
      the copyright owner. For the purposes of this definition, "submitted"
      means any form of electronic, verbal, or written communication sent
      to the Licensor or its representatives, including but not limited to
      communication on electronic mailing lists, source code control systems,
      and issue tracking systems that are managed by, or on behalf of, the
      Licensor for the purpose of discussing and improving the Work, but
      excluding communication that is conspicuously marked or otherwise
      designated in writing by the copyright owner as "Not a Contribution."

      "Contributor" shall mean Licensor and any individual or Legal Entity
      on behalf of whom a Contribution has been received by Licensor and
      subsequently incorporated within the Work.

   2. Grant of Copyright License. Subject to the terms and conditions of
      this License, each Contributor hereby grants to You a perpetual,
      worldwide, non-exclusive, no-charge, royalty-free, irrevocable
      copyright license to reproduce, prepare Derivative Works of,
      publicly display, publicly perform, sublicense, and distribute the
      Work and such Derivative Works in Source or Object form.

   3. Grant of Patent License. Subject to the terms and conditions of
      this License, each Contributor hereby grants to You a perpetual,
      worldwide, non-exclusive, no-charge, royalty-free, irrevocable
      (except as stated in this section) patent license to make, have made,
      use, offer to sell, sell, import, and otherwise transfer the Work,
      where such license applies only to those patent claims licensable
      by such Contributor that are necessarily infringed by their
      Contribution(s) alone or by combination of their Contribution(s)
      with the Work to which such Contribution(s) was submitted. If You
      institute patent litigation against any entity (including a
      cross-claim or counterclaim in a lawsuit) alleging that the Work
      or a Contribution incorporated within the Work constitutes direct
      or contributory patent infringement, then any patent licenses
      granted to You under this License for that Work shall terminate
      as of the date such litigation is filed.

   4. Redistribution. You may reproduce and distribute copies of the
      Work or Derivative Works thereof in any medium, with or without
      modifications, and in Source or Object form, provided that You
      meet the following conditions:

      (a) You must give any other recipients of the Work or
          Derivative Works a copy of this License; and

      (b) You must cause any modified files to carry prominent notices
          stating that You changed the files; and

      (c) You must retain, in the Source form of any Derivative Works
          that You distribute, all copyright, patent, trademark, and
          attribution notices from the Source form of the Work,
          excluding those notices that do not pertain to any part of
          the Derivative Works; and

      (d) If the Work includes a "NOTICE" text file as part of its
          distribution, then any Derivative Works that You distribute must
          include a readable copy of the attribution notices contained
          within such NOTICE file, excluding those notices that do not
          pertain to any part of the Derivative Works, in at least one
          of the following places: within a NOTICE text file distributed
          as part of the Derivative Works; within the Source form or
          documentation, if provided along with the Derivative Works; or,
          within a display generated by the Derivative Works, if and
          wherever such third-party notices normally appear. The contents
          of the NOTICE file are for informational purposes only and
          do not modify the License. You may add Your own attribution
          notices within Derivative Works that You distribute, alongside
          or as an addendum to the NOTICE text from the Work, provided
          that such additional attribution notices cannot be construed
          as modifying the License.

      You may add Your own copyright statement to Your modifications and
      may provide additional or different license terms and conditions
      for use, reproduction, or distribution of Your modifications, or
      for any such Derivative Works as a whole, provided Your use,
      reproduction, and distribution of the Work otherwise complies with
      the conditions stated in this License.

   5. Submission of Contributions. Unless You explicitly state otherwise,
      any Contribution intentionally submitted for inclusion in the Work
      by You to the Licensor shall be under the terms and conditions of
      this License, without any additional terms or conditions.
      Notwithstanding the above, nothing herein shall supersede or modify
      the terms of any separate license agreement you may have executed
      with Licensor regarding such Contributions.

   6. Trademarks. This License does not grant permission to use the trade
      names, trademarks, service marks, or product names of the Licensor,
      except as required for reasonable and customary use in describing the
      origin of the Work and reproducing the content of the NOTICE file.

   7. Disclaimer of Warranty. Unless required by applicable law or
      agreed to in writing, Licensor provides the Work (and each
      Contributor provides its Contributions) on an "AS IS" BASIS,
      WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
      implied, including, without limitation, any warranties or conditions
      of TITLE, NON-INFRINGEMENT, MERCHANTABILITY, or FITNESS FOR A
      PARTICULAR PURPOSE. You are solely responsible for determining the
      appropriateness of using or redistributing the Work and assume any
      risks associated with Your exercise of permissions under this License.

   8. Limitation of Liability. In no event and under no legal theory,
      whether in tort (including negligence), contract, or otherwise,
      unless required by applicable law (such as deliberate and grossly
      negligent acts) or agreed to in writing, shall any Contributor be
      liable to You for damages, including any direct, indirect, special,
      incidental, or consequential damages of any character arising as a
      result of this License or out of the use or inability to use the
      Work (including but not limited to damages for loss of goodwill,
      work stoppage, computer failure or malfunction, or any and all
      other commercial damages or losses), even if such Contributor
      has been advised of the possibility of such damages.

   9. Accepting Warranty or Additional Liability. While redistributing
      the Work or Derivative Works thereof, You may choose to offer,
      and charge a fee for, acceptance of support, warranty, indemnity,
      or other liability obligations and/or rights consistent with this
      License. However, in accepting such obligations, You may act only
      on Your own behalf and on Your sole responsibility, not on behalf
      of any other Contributor, and only if You agree to indemnify,
      defend, and hold each Contributor harmless for any liability
      incurred by, or claims asserted against, such Contributor by reason
      of your accepting any such warranty or additional liability.

   END OF TERMS AND CONDITIONS

   APPENDIX: How to apply the Apache License to your work.

      To apply the Apache License to your work, attach the following
      boilerplate notice, with the fields enclosed by brackets "[]"
      replaced with your own identifying information. (Don't include
      the brackets!)  The text should be enclosed in the appropriate
      comment syntax for the file format. We also recommend that a
      file or class name and description of purpose be included on the
      same "printed page" as the copyright notice for easier
      identification within third-party archives.

   Copyright [yyyy] [name of copyright owner]

   Licensed under the Apache License, Version 2.0 (the "License");
   you may not use this file except in compliance with the License.
   You may obtain a copy of the License at

       http://www.apache.org/licenses/LICENSE-2.0

   Unless required by applicable law or agreed to in writing, software
   distributed under the License is distributed on an "AS IS" BASIS,
   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
   See the License for the specific language governing permissions and
   limitations under the License.