urish /  tinytapeout-05-openframe

Created
Maintained by urish
Tiny Tapeout 05 in openframe - Visit https://tinytapeout.com for more information on the project and how to get involved
Members 1
Uri Shaked committed 10 months ago

Tiny Tapeout 05 Openframe

This repository contains the GDS file for the Tiny Tapeout 05 Openframe project. It was generated from https://github.com/TinyTapeout/tinytapeout-05-openframe@867b3b153923933f86ea723174453a407b14dcb2.

Project Index

Address Author Title Type Git Repo
0 Uri Shaked Chip ROM HDL https://github.com/TinyTapeout/tt-chip-rom
1 Sylvain Munaut TinyTapeout 05 Factory Test HDL https://github.com/TinyTapeout/tt05-factory-test
2 Sylvain Munaut TinyTapeout 05 Loopback Test Module HDL https://github.com/TinyTapeout/tt05-loopback
3 Miles Segal Leaky Integrate and Fire Neuron Model HDL https://github.com/nfjesifb/Leaky_Integrate_Fire_Neuron_Model
4 Karina Aguilar Time Multiplexed Neuron Ckt HDL https://github.com/KarinaAguilar/tt05-time-multiplexed-neuron-circuit
5 Brandon Cruz SAP-1 Computer HDL https://github.com/brandcrz88/SAP-1_Computer
6 Shatoparba Banerjee Current Based Leaky Integrate and Fire Model HDL https://github.com/shatoparbabanerjee/tt05-verilog-demo
7 Johannes Leugering TickTockTokens HDL https://github.com/jleugeri/tnt-ticktocktokens
8 Skye Gunasekaran Spiking LSTM Network HDL https://github.com/SkyeGunasekaran/TinyTapeout-ECE183
9 Kembay Assel Integrate-and-Fire Neuron. (Verilog Demo) HDL https://github.com/mountains-high/tt05-mountains-high-if
10 Faculty of Technical Sciences Cacak, University of Kragujevac Neural network on chip HDL https://github.com/vladamladenovic/neural_network_FTS_Cacak_Serbia
11 Phillip Marlowe Simple Leaky Integrate and Fire (LIF) Neuron HDL https://github.com/phillipmmarlowe/tt05-verilog-HLS-LFI
12 diadatp e Spigot HDL https://github.com/diadatp/tt05_spigot_e
13 Kevin You Continued Fraction Calculator HDL https://github.com/kskyou/tt05
14 Hendrik Water Pump Controller Wokwi https://github.com/fahek/water-pump-controller-tto5
15 Emily Lee Event Denoising Circuit HDL https://github.com/nerfectt/tt05-verilog-demo
32 Matt Venn, cloned by Cedric Honnet 7 segment seconds (Verilog Demo) HDL https://github.com/honnet/TinyTapeout_tt05-submission-template
33 Hannah Cohen-Sandler Frequency Encoder/Decoder HDL https://github.com/hcohensa/tt05-freq-enc-dec-chip
34 Jonathan Zentgraf UART Greeter with RNN Module Wokwi https://github.com/zx96/tiny-tapeout-5
35 Ciro Cattuto WS2812B LED strip driver Wokwi https://github.com/ccattuto/tt05-rng-ws2812b-strip
36 Rob Campbell KG6HUM Tiny Tapeout 5 Workshop Wokwi https://github.com/kg6hum/tinytapeout5_test1
37 James Bryant Tiny Tapeout 1 Wokwi https://github.com/james-bryant/tiny-tapeout
38 Caleb Hensley Supercon Workshop Wokwi https://github.com/calliday/tiny-tapeout
39 Erik Mercado Matrix Multiplier HDL https://github.com/mercadoerik1031/tt05-matrix-multiplier-demo
40 Joey Castillo Clock Divider Wokwi https://github.com/joeycastillo/joey-tiny-tapeout-5
41 Chinchilla Binary Counter Wokwi https://github.com/eatb33ts/tinytapeout_jkff
42 Bob Poekert ring osc test Wokwi https://github.com/bobpoekert/tinytapeout
43 Kumar Abhishek 7 segment clock with 4 digits HDL https://github.com/abhishek-kakkar/tt05-verilog-7seg-clock
44 dmitry test001 Wokwi https://github.com/DmitryPustovit/tiny-tapeour-demo
45 Ethan Mulle Hodgkin-Huxley Chip Design HDL https://github.com/etmulle/ece293-chip-design
46 Dakota W Winslow Character Selector Wokwi https://github.com/dakotawinslow/TinyTapeout5
47 Chih-Kuan Ho and David Parent Intructouction to PRBS Wokwi https://github.com/davidparent/PRBS-ASIC
64 Ryan Young tto5 Supercon Project Wokwi https://github.com/ryanayoung/tto5_superconsubmission001
65 John Madden Delta Modulation Spike Encoding HDL https://github.com/jmadden173/tt05-delta-modulation
66 Eric Moderbacher GameOfLife Wokwi https://github.com/ericmoderbacher/TapeOut
67 Alan Reflex Game HDL https://github.com/amabraha/my_tiny_tapeout_reflex_game
68 Alexandre Ney Guimaraes Logic Gates Tapeout Wokwi https://github.com/AlexandreNeyGuimaraes/TinyTapeoutTest
69 Fiona Fisher Stream Cipher w/ LSR (8 bit key) HDL https://github.com/Fiona-CMU/streamcipher
70 HMaxMax tt5modifyd Wokwi https://github.com/HMaxMax/tt5modifyd
71 Devan Grover & Siddharth Kunisetty ALU Chip HDL https://github.com/devgro/TinyTapeoutDemo
72 bignug13 Tapeout Test Wokwi https://github.com/bignug13/Tapeout
73 Rylan Morgan Calculator chip HDL https://github.com/rjmorgan11/tt05-rjmorgan11
74 poynting Shifty Snakey Wokwi https://github.com/poynting/tt5-shiftsnake
75 Gyanepsaa Singh Synth HDL https://github.com/GyanepsaaS/TT_synth_GyanepsaaS
76 Mooneer Salem Sawtooth Generator HDL https://github.com/tmiw/supercon2023-ttl
77 Ariella Eliassaf Blinking A Wokwi https://github.com/AriellaE/blinking-a
78 Alec Probst Supercon 2023 HDL https://github.com/alecjprobst/supercon2023
79 Test Sparsity Aware Matrix Vector Multiplication HDL https://github.com/aidanzinn/tt05-verilog-demo-ECE183
96 Dave Cox Ring Oscillator and Clock Source Switch Wokwi https://github.com/davecoxhome/tinytapeout
97 Aled dela Cruz Matrix Vector Multiplication (Verilog Demo) HDL https://github.com/alrdelcr/tt05-verilog-alrdelcr
98 Benjamin Meyer IDK WHAT TO DO Wokwi https://github.com/CrypticXVZ/LOL
99 Abrez Hussain, Dean Xavier Batres, Nathan Chau 7-segment display logic system Wokwi https://github.com/abrez2004/7segment
100 Jeremy Hong Hardware Trojan Example Wokwi https://github.com/hongselectronics/HW_Trojan_hongseleco
101 Justin Hui Analog Clock Wokwi https://github.com/jhui323444/tt05-submission-template-Justin-Hui-Analog-Clock
102 Shravyasai Koushik 7 segment display Wokwi https://github.com/Shrav21/tapeouttest
103 Wendi Li W_Li_10/28 Wokwi https://github.com/wendiiiiii/vlsi
104 Adam Chasen Supecon Gate Play Wokwi https://github.com/chaseadam/tt5
105 Manju Shettar ECE 183 - Integrate and Fire Network Chip Design HDL https://github.com/manjushettar/tt-183
106 kl tto5 Wokwi https://github.com/klei22/tto5
107 Ole Christian Moholth and Steven Bos REBEL-2 Balanced Ternary ALU HDL https://github.com/aiunderstand/tt05-REBEL2-balanced-ternary-ALU
108 David Parent Stochastic Multiplier Wokwi https://github.com/davidparent/Stochastic-Multiplier
109 Jeff DiCorpo 7 segment seconds - count down HDL https://github.com/jeffdi/tt-test
110 Alexander Whittemore TT05 Submission Wokwi https://github.com/alexwhittemore/TT05-AlexW
111 Mariana_Huerta Leaky Integrate-and-Fire Neuron HDL https://github.com/huertma/tt05-leaky-integrate-fire-neuron
128 Eric Smith Count via LFSR Wokwi https://github.com/ericsmi/tt05-wokwi-lfsr-counter
130 Darryl Miles I2C BERT HDL https://github.com/dlmiles/tt05-i2c-bert
132 Eric Smith tt05-loopback tile with input skew measurement HDL https://github.com/ericsmi/tt05-loopback-with-skew
134 Daniel Robinson Flappy VGA HDL https://github.com/Cutout1/tt05-flappy-vga
136 Paul Schulz Asynchronous Parallel Processor Demonstrator HDL https://github.com/PaulSchulz/tt05-async-proc
138 Jorge Gómez y Felipe Gómez Hex Countdown Wokwi https://github.com/fegomezmir96/Contador
140 Nick Hay Matrix multiply coprocessor (8x8 1bit) HDL https://github.com/nickjhay/tt05-submission-template
142 htfab Standard cell generator and tester HDL https://github.com/htfab/cell-tester
160 Nicholas Kuipers Winner-Take-All Network (Verilog Demo) HDL https://github.com/Kuipman/tt05-wta-demo
161 Axel Andersson & Per Andersson Lion cage HDL https://github.com/Muncherkin/tt_um_muncherkin_lioncage
162 Kevin Sandoval Brain Inspired Random Dropout Circuit HDL https://github.com/Ksandov4/CHIPDESIGN
163 Sean Venadas Event-Based Denoising Circuit HDL https://github.com/seanvenadas/tt05-verilog-ece183chip
164 Rodolfo Sanchez RAM cell test Wokwi https://github.com/RodSchz/tt05-RodSchz-RAMtest
165 ReJ aka Renaldas Zioma Classic 8-bit era Programmable Sound Generator AY-3-8913 HDL https://github.com/rejunity/tt05-psg-ay8913
166 Ridger Zhu RNN (Demo) HDL https://github.com/ridgerchu/first-chip
167 William Bodeau STDP Neuron HDL https://github.com/Gharenthi/tt05-verilog-fork-stdp
168 Abhinandan singh Basic Spiking Neural Network HDL https://github.com/abhiucsc/SNN293
169 Philip Mohr 8 bit floating point adder HDL https://github.com/BTFLV/tt05-btflv-8bit-fp-adder
170 Sathyaprakash Narayanan Perceptron Hardcoded HDL https://github.com/satabios/ttf-perceptron
171 J. Przepioski Cheap and quick STDP HDL https://github.com/jkprz/tt05-verilog-demo
172 Derek Abarca Brain-Inspired Oscillatory Network HDL https://github.com/derekabarca/tt05-OscilatorNetworks
173 Anish Singhani UART uwuifier HDL https://github.com/asinghani/uwuifier-tt05
174 Connor Guzikowski Perceptron and basic binary neural network HDL https://github.com/connorguzi/tt05-perceptron
175 Muhammad Hadir Khan Leaky Integrate-and-Fire Neuron HDL https://github.com/hadirkhan10/tt05-leaky-neuron
192 Matt Venn 7 segment seconds Wokwi https://github.com/mattvenn/tt05-seven-segment-seconds-wokwi
194 UABC UABC-ELECTRONICA HDL https://github.com/rogeermv/UABC_ELECTRONICA_2023
196 proppy bytebeat HDL https://github.com/proppy/tt05-bytebeat
197 Milosch Meriac Super Mario Tune on A Piezo Speaker HDL https://github.com/meriac/tt05-play-tune
198 Rutuparn Pawar Byte Computer HDL https://github.com/InputBlackBoxOutput/Byte-Computer
199 Matt Venn 7 segment seconds (VHDL Demo) HDL https://github.com/TinyTapeout/tt05-vhdl-demo
200 CE JMU Wuerzburg 4-Bit ALU HDL https://github.com/CEJMU/tt05-alu
201 ReJ aka Renaldas Zioma Classic 8-bit era Programmable Sound Generator SN76489 HDL https://github.com/rejunity/tt05-psg-sn76489
202 Steve Jenson Miniature Programmable Interrupt Timer HDL https://github.com/stevej/tt05-minipit-stevej
203 Gerry Chen 7-segment Name Display HDL https://github.com/gchenfc/Gerrys-Custom-ASIC-tt05
204 Carson Swoveland Tetris HDL https://github.com/SuperTails/tt-tetris
205 Morteza Biglari-Abhari Simple_Timer-MBA HDL https://github.com/mabhari/tt05-my_simple_timer
206 Nathan Zhu UART Transceiver HDL https://github.com/njzhu/tt05_uart_transceiver
207 Arfan Ghani AGL CorticoNeuro-1 Wokwi https://github.com/arfanghani/tt05-submission-AGhani
224 Ruhai Lin Leaky-Integrated Fire Neuron HDL https://github.com/ruhai-lin/tt05-lif-demo
225 Emilian Miron Ringy oscillator and fast computation HDL https://github.com/diferential/tt05-ringy
226 Rodolfo Sanchez Fraga UART test Wokwi https://github.com/RodSchz/tt05-RodSchz-uart_test
227 Nissan Kunju Heart Rhythm Analyzer HDL https://github.com/tkmceberl/tt05-tkm-heart
228 Binh Nguyen Spike-timing dependent plasticity (Verilog Demo) HDL https://github.com/howyoubinh/tt05-stdp-demo
229 Miho Yamada Tiny Tapeout 5 TM project1 Wokwi https://github.com/keropiyo/tt05-submission-template
230 Aidan Medcalf Thermocouple-to-temperature converter (digital backend) HDL https://github.com/AidanMedcalf/tt05-thermocouple
231 Sean Bruton Naive 8-bit Binary Counter Wokwi https://github.com/sbruton/asic-poc
232 Anish Singhani tinyscanchain Test Design HDL https://github.com/asinghani/tinyscanchain-tt05
233 Carlos Guerra & Marco Gurrola 6 digit chronometer. HDL https://github.com/CarlosGS99/Chronometer_UdG
234 Rogelio Franco Convolutional Network Circuit Chip Design HDL https://github.com/suhrojo/ConvolutionalNC-Design
235 Mathias Eriksen Matrix Vector Multiplication Accelerator HDL https://github.com/MathiasTEriksen/tt05-SparcityAware
236 Dylan Louie Perceptron (Neuromeme) HDL https://github.com/Sinfinite01/tt05-verilog-Sinfinite
237 Lucius Chee 4 Bit ALU HDL https://github.com/czlucius/tt05_alu
238 Aravind Ramamoorthy Binary Neural Network (Verilog Demo) HDL https://github.com/aravindbe/tt05-BNN-demo
239 Uri Shaked SkullFET HDL https://github.com/urish/tt05-skullfet
256 Ryota Suzuki Wavetable Sound Generator HDL https://github.com/JA1TYE/tt05-TYE-tone-generator
258 Ruibin Mao PWM signal generation with Winner-Take-All selection HDL https://github.com/Jaylenne/tt05-wta-pwm
260 Joerdson Silva Multimode Modem HDL https://github.com/joerdsonsilva/tt05-multimode-modem
262 Toivo Henningsson Analog emulation monosynth HDL https://github.com/toivoh/tt05-synth
264 Petros Emmanouilidis Tiny Game of Life HDL https://github.com/Petros-Emmanouilidis/tt05-Petros-Emmanouilidis-tiny-game-of-life
266 Mingkai Chen Stack Machine HDL https://github.com/mingkaic1/tt05-stack-machine
268 Wallace Everest ChipTune HDL https://github.com/WallieEverest/tt05
270 Uri Shaked Game of Life 8x8 (siLife) HDL https://github.com/urish/tt05-silife-8x8
271 Harald Pretl and Jakob Ratschenberger TT05 Analog Testmacro (Ringo, DAC) HDL https://github.com/iic-jku/tt05-analog-test
290 Brian 'redbeard' Harrington RBUART Wokwi https://github.com/brianredbeard/tt05-submission-template
292 Matt Ngaw 8-bit Floating-Point Adder HDL https://github.com/mattngaw/98154-tt05-fp8adder
294 Matheus 6 bit Counter and Piano Music created by Chip Inventor HDL https://github.com/matheus-VBLabs/tt05_chip_inventor_01
296 Aldo 4 Bit Pipelined Multiplier HDL https://github.com/crispy245/tt05-4-CSA-Bit-Pipelined-Multiplier-Crispo
298 Andrew Nam 2-Bit ALU + Dice Wokwi https://github.com/andrewjh09nam/tinytapeout05_andy_ALU_dice
300 Darryl Miles TT02 Wokwi 7seg remake Wokwi https://github.com/dlmiles/tt05-7seg-mux-transpose
302 Timonas Juonys ping pong asic Wokwi https://github.com/Timonas04/pong_game
320 SEAL, CSE Department, IIT Kharagpur A Boolean function based pseudo random number generator (PRNG) HDL https://github.com/fleathlushby/tt05_boolean_pseudo_random_generator
322 Samuel Ellicott Digital Desk Clock HDL https://github.com/sellicott/sellicott_tt5_digital_clock
324 Haozhe Zhu 4-bit FIFO/LIFO HDL https://github.com/HaozheZhu/tt05-HZ
326 Leo Moser One Sprite Pony HDL https://github.com/mole99/tt05-one-sprite-pony
328 EconomIC Engineers 4 bit Sync Gray Code Counter HDL https://github.com/ariz207/tt05_GrayCounter
330 Austin Lo Clock and Random Number Gen HDL https://github.com/lolo0827/tapeout-v2
332 Matt Venn TT05 Analog Test HDL https://github.com/mattvenn/tt05-analog-test
334 Tom Keddie VGA Experiments HDL https://github.com/TomKeddie/tinytapeout-2023-tt05a
354 yubex metastability experiment HDL https://github.com/yubex/tt04-metastability-experiment
356 LogicComputing MyUART HDL https://github.com/LogicComputing/tt05-myUART
384 ReJ aka Renaldas Zioma Rule110 cell automata HDL https://github.com/rejunity/tt05-rule110
390 Tommy Thorn No Time for Squares HDL https://github.com/tommythorn/no-time-for-squares
396 Uri Shaked Game of Life 8x32 (siLife) HDL https://github.com/urish/tt05-silife-max
398 Gerrit Grutzeck TROS HDL https://github.com/gfg-development/tt05-tros
450 Michael Tomlinson, Joe Lie, ChatGPT-4, Andreas Andreou - mtomlin5@jh.edu ChatGPT designed Spiking Neural Network HDL https://github.com/AndreouLab/tinytapeout_05_chatgpt_snn
454 Chinmay Patil Karplus-Strong String Synthesis HDL https://github.com/pyamnihc/tt05-um-ks-pyamnihc
458 Anish Singhani VGA Dino Game HDL https://github.com/asinghani/dinogame-tt05
460 Himanshu Yadav Dual Compute Unit HDL https://github.com/himanshu5-prog/tt_um_myChip
462 Vytautas Šaltenis Collatz conjecture brute-forcer HDL https://github.com/rtfb/tt05-collatz
518 Reto Stamm Field Programmable Neural Array HDL https://github.com/retospect/tt05-fpna-rs
526 Uri Shaked DFFRAM Example (128 bytes) HDL https://github.com/TinyTapeout/tt05-dffram-example
582 rej Chonky SNN HDL https://github.com/rejunity/tt05-spiking-neural-net
590 Jason Eshraghian Hodgkin-Huxley Neuron HDL https://github.com/jeshraghian/tt05-hodgkin-huxley
641 Ivan M Bow PRBS Generator Wokwi https://github.com/wulfhednar02/tt05_prbs_generator
643 Devin Atkin Stop Watch HDL https://github.com/devinatkin/tt05-stopwatch
645 algofoogle (Anton Maurovic) vga_spi_rom HDL https://github.com/algofoogle/tt05-vga-spi-rom
647 akita11 RO and counter HDL https://github.com/akita11/tt05-verilog-demo
649 Hirosh Dabui 8-Bit Shift Register with Output Latches 74HC595 HDL https://github.com/splinedrive/74hc595
651 Pat Deegan Neptune guitar tuner (proportional window, version b, debug output on bidir pins, larger set of frequencies) HDL https://github.com/psychogenic/tt04-neptune
653 Uri Shaked Simon Says game HDL https://github.com/urish/tt05-simon-game-openlane2
654 Hirosh Dabui KianV uLinux SoC HDL https://github.com/splinedrive/KianV_rv32ia_uLinux_SoC
655 Uri Shaked Ring oscillator with counter HDL https://github.com/TinyTapeout/tt05-ringosc-counter
705 Sunao Furukawa cpu_8bit HDL https://github.com/Sunao-Furukawa/tt_um_sunaofurukawa_cpu_8bit
707 Matt Venn VGA clock HDL https://github.com/mattvenn/tt04-vga-clock
709 Matt Venn 7 segment seconds (Verilog Demo) HDL https://github.com/TinyTapeout/tt05-verilog-demo
711 Matt Venn Frequency counter HDL https://github.com/mattvenn/tt-frequency-counter
713 Matt Venn RGB Mixer HDL https://github.com/mattvenn/tt04-rgb-mixer
715 Mike Bell SPI Peripheral HDL https://github.com/MichaelBell/tt05-spi-peripheral
717 Gustavo Gomez Multiplexed clock HDL https://github.com/Noteolvides/Noteolvides_clock_TinyTapeout
718 Pat Deegan, psychogenic.com Shaman: SHA-256 hasher HDL https://github.com/psychogenic/tt05-shaman
719 Uri Shaked Openframe Mask Revision HDL https://github.com/TinyTapeout/tt05-mask-rev-for-tim
                                 Apache License
                           Version 2.0, January 2004
                        http://www.apache.org/licenses/

   TERMS AND CONDITIONS FOR USE, REPRODUCTION, AND DISTRIBUTION

   1. Definitions.

      "License" shall mean the terms and conditions for use, reproduction,
      and distribution as defined by Sections 1 through 9 of this document.

      "Licensor" shall mean the copyright owner or entity authorized by
      the copyright owner that is granting the License.

      "Legal Entity" shall mean the union of the acting entity and all
      other entities that control, are controlled by, or are under common
      control with that entity. For the purposes of this definition,
      "control" means (i) the power, direct or indirect, to cause the
      direction or management of such entity, whether by contract or
      otherwise, or (ii) ownership of fifty percent (50%) or more of the
      outstanding shares, or (iii) beneficial ownership of such entity.

      "You" (or "Your") shall mean an individual or Legal Entity
      exercising permissions granted by this License.

      "Source" form shall mean the preferred form for making modifications,
      including but not limited to software source code, documentation
      source, and configuration files.

      "Object" form shall mean any form resulting from mechanical
      transformation or translation of a Source form, including but
      not limited to compiled object code, generated documentation,
      and conversions to other media types.

      "Work" shall mean the work of authorship, whether in Source or
      Object form, made available under the License, as indicated by a
      copyright notice that is included in or attached to the work
      (an example is provided in the Appendix below).

      "Derivative Works" shall mean any work, whether in Source or Object
      form, that is based on (or derived from) the Work and for which the
      editorial revisions, annotations, elaborations, or other modifications
      represent, as a whole, an original work of authorship. For the purposes
      of this License, Derivative Works shall not include works that remain
      separable from, or merely link (or bind by name) to the interfaces of,
      the Work and Derivative Works thereof.

      "Contribution" shall mean any work of authorship, including
      the original version of the Work and any modifications or additions
      to that Work or Derivative Works thereof, that is intentionally
      submitted to Licensor for inclusion in the Work by the copyright owner
      or by an individual or Legal Entity authorized to submit on behalf of
      the copyright owner. For the purposes of this definition, "submitted"
      means any form of electronic, verbal, or written communication sent
      to the Licensor or its representatives, including but not limited to
      communication on electronic mailing lists, source code control systems,
      and issue tracking systems that are managed by, or on behalf of, the
      Licensor for the purpose of discussing and improving the Work, but
      excluding communication that is conspicuously marked or otherwise
      designated in writing by the copyright owner as "Not a Contribution."

      "Contributor" shall mean Licensor and any individual or Legal Entity
      on behalf of whom a Contribution has been received by Licensor and
      subsequently incorporated within the Work.

   2. Grant of Copyright License. Subject to the terms and conditions of
      this License, each Contributor hereby grants to You a perpetual,
      worldwide, non-exclusive, no-charge, royalty-free, irrevocable
      copyright license to reproduce, prepare Derivative Works of,
      publicly display, publicly perform, sublicense, and distribute the
      Work and such Derivative Works in Source or Object form.

   3. Grant of Patent License. Subject to the terms and conditions of
      this License, each Contributor hereby grants to You a perpetual,
      worldwide, non-exclusive, no-charge, royalty-free, irrevocable
      (except as stated in this section) patent license to make, have made,
      use, offer to sell, sell, import, and otherwise transfer the Work,
      where such license applies only to those patent claims licensable
      by such Contributor that are necessarily infringed by their
      Contribution(s) alone or by combination of their Contribution(s)
      with the Work to which such Contribution(s) was submitted. If You
      institute patent litigation against any entity (including a
      cross-claim or counterclaim in a lawsuit) alleging that the Work
      or a Contribution incorporated within the Work constitutes direct
      or contributory patent infringement, then any patent licenses
      granted to You under this License for that Work shall terminate
      as of the date such litigation is filed.

   4. Redistribution. You may reproduce and distribute copies of the
      Work or Derivative Works thereof in any medium, with or without
      modifications, and in Source or Object form, provided that You
      meet the following conditions:

      (a) You must give any other recipients of the Work or
          Derivative Works a copy of this License; and

      (b) You must cause any modified files to carry prominent notices
          stating that You changed the files; and

      (c) You must retain, in the Source form of any Derivative Works
          that You distribute, all copyright, patent, trademark, and
          attribution notices from the Source form of the Work,
          excluding those notices that do not pertain to any part of
          the Derivative Works; and

      (d) If the Work includes a "NOTICE" text file as part of its
          distribution, then any Derivative Works that You distribute must
          include a readable copy of the attribution notices contained
          within such NOTICE file, excluding those notices that do not
          pertain to any part of the Derivative Works, in at least one
          of the following places: within a NOTICE text file distributed
          as part of the Derivative Works; within the Source form or
          documentation, if provided along with the Derivative Works; or,
          within a display generated by the Derivative Works, if and
          wherever such third-party notices normally appear. The contents
          of the NOTICE file are for informational purposes only and
          do not modify the License. You may add Your own attribution
          notices within Derivative Works that You distribute, alongside
          or as an addendum to the NOTICE text from the Work, provided
          that such additional attribution notices cannot be construed
          as modifying the License.

      You may add Your own copyright statement to Your modifications and
      may provide additional or different license terms and conditions
      for use, reproduction, or distribution of Your modifications, or
      for any such Derivative Works as a whole, provided Your use,
      reproduction, and distribution of the Work otherwise complies with
      the conditions stated in this License.

   5. Submission of Contributions. Unless You explicitly state otherwise,
      any Contribution intentionally submitted for inclusion in the Work
      by You to the Licensor shall be under the terms and conditions of
      this License, without any additional terms or conditions.
      Notwithstanding the above, nothing herein shall supersede or modify
      the terms of any separate license agreement you may have executed
      with Licensor regarding such Contributions.

   6. Trademarks. This License does not grant permission to use the trade
      names, trademarks, service marks, or product names of the Licensor,
      except as required for reasonable and customary use in describing the
      origin of the Work and reproducing the content of the NOTICE file.

   7. Disclaimer of Warranty. Unless required by applicable law or
      agreed to in writing, Licensor provides the Work (and each
      Contributor provides its Contributions) on an "AS IS" BASIS,
      WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
      implied, including, without limitation, any warranties or conditions
      of TITLE, NON-INFRINGEMENT, MERCHANTABILITY, or FITNESS FOR A
      PARTICULAR PURPOSE. You are solely responsible for determining the
      appropriateness of using or redistributing the Work and assume any
      risks associated with Your exercise of permissions under this License.

   8. Limitation of Liability. In no event and under no legal theory,
      whether in tort (including negligence), contract, or otherwise,
      unless required by applicable law (such as deliberate and grossly
      negligent acts) or agreed to in writing, shall any Contributor be
      liable to You for damages, including any direct, indirect, special,
      incidental, or consequential damages of any character arising as a
      result of this License or out of the use or inability to use the
      Work (including but not limited to damages for loss of goodwill,
      work stoppage, computer failure or malfunction, or any and all
      other commercial damages or losses), even if such Contributor
      has been advised of the possibility of such damages.

   9. Accepting Warranty or Additional Liability. While redistributing
      the Work or Derivative Works thereof, You may choose to offer,
      and charge a fee for, acceptance of support, warranty, indemnity,
      or other liability obligations and/or rights consistent with this
      License. However, in accepting such obligations, You may act only
      on Your own behalf and on Your sole responsibility, not on behalf
      of any other Contributor, and only if You agree to indemnify,
      defend, and hold each Contributor harmless for any liability
      incurred by, or claims asserted against, such Contributor by reason
      of your accepting any such warranty or additional liability.

   END OF TERMS AND CONDITIONS

   APPENDIX: How to apply the Apache License to your work.

      To apply the Apache License to your work, attach the following
      boilerplate notice, with the fields enclosed by brackets "[]"
      replaced with your own identifying information. (Don't include
      the brackets!)  The text should be enclosed in the appropriate
      comment syntax for the file format. We also recommend that a
      file or class name and description of purpose be included on the
      same "printed page" as the copyright notice for easier
      identification within third-party archives.

   Copyright [yyyy] [name of copyright owner]

   Licensed under the Apache License, Version 2.0 (the "License");
   you may not use this file except in compliance with the License.
   You may obtain a copy of the License at

       http://www.apache.org/licenses/LICENSE-2.0

   Unless required by applicable law or agreed to in writing, software
   distributed under the License is distributed on an "AS IS" BASIS,
   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
   See the License for the specific language governing permissions and
   limitations under the License.